Est que quelqu'un peut m'aider pour prorammmer une matrice en VHDL?

Collapse
X
 
  • Time
  • Show
Clear All
new posts
  • rose
    New User
    • Aug 2009
    • 2

    Est que quelqu'un peut m'aider pour prorammmer une matrice en VHDL?

    Bonjour,
    je voudrais programmer une matrice dynamique en VHDL les parametres de cette matrice varient par ligne tous les 4milliseconde.
    Mon probléme je ne maitrise pas le Vhdl,est ce que quelqu'un peut m'aider?
    Merci.
  • mdes
    Moderator
    • Apr 2008
    • 251

    #2
    Bonjour Rose,

    Je crois que tu t'es trompé de forum et de site !

    Michel.
    Michel Dessaintes
    Modérateur du Forum Francophone & Traducteur Officiel de BC2/BC3/BC4 en Français

    Comment

    • rose
      New User
      • Aug 2009
      • 2

      #3
      Bonsoir,
      est ce que vous pouvez m'indiquier des forums qui peuvent éventuellement m'aider?
      A quoi sert ce forum et se site?
      Merci

      Comment

      • mdes
        Moderator
        • Apr 2008
        • 251

        #4
        Ce forum sert à l'échange d'informations sur les logiciels créés par Scooter Software (principalement Beyond Compare actuellement).

        Pour les "matrice dynamique en VHDL", recherche sur Google (http://www.google.fr/search?hl=fr&q=...amique%22+VHDL par exemple).

        Michel.
        Michel Dessaintes
        Modérateur du Forum Francophone & Traducteur Officiel de BC2/BC3/BC4 en Français

        Comment

        Working...